Welcome![Sign In][Sign Up]
Location:
Search - VHDL qep

Search list

[Other Embeded programqep

Description: 一个QEP电路的verilog代码。输入信号是光电编码器的A相和B相信号和一个处理时钟,输出的是计数信号和方向信号。-A QEP circuit Verilog code. Input signal is the optical encoder of the A phase and B and believe that a deal with the clock, the output is the count signal and direction signal.
Platform: | Size: 1024 | Author: 张洁 | Hits:

CodeBus www.codebus.net